DataSheet.es    


PDF XC2V60 Data sheet ( Hoja de datos )

Número de pieza XC2V60
Descripción (XC2Vxxx) Virtex-II Platform FPGAs: Complete Data Sheet
Fabricantes Xilinx 
Logotipo Xilinx Logotipo



Hay una vista previa y un enlace de descarga de XC2V60 (archivo pdf) en la parte inferior de esta página.


Total 30 Páginas

No Preview Available ! XC2V60 Hoja de datos, Descripción, Manual

0
R Virtex™-II Platform FPGAs:
Complete Data Sheet
DS031 August 1, 2003
0 0 Product Specification
This document includes all four modules of the Virtex-II Platform FPGA data sheet.
Module 1:
Introduction and Overview
DS031-1 (v2.0) August 1, 2003
7 pages
• Summary of Features
• General Description
• Device/Package Combinations and Maximum I/O
• Ordering Information
Module 2:
Functional Description
DS031-2 (v3.0) August 1, 2003
40 pages
• Detailed Description
• Digitally Controlled Impedance (DCI)
• Configurable Logic Blocks (CLBs)
• Sum of Products
• 3-State Buffers
• 18-Kb Block SelectRAM™ Resources
• 18-Bit x 18-Bit Multipliers
• Global Clock Multiplexer Buffers
• Digital Clock Manager (DCM)
• Active Interconnect Technology
• Creating a Design
• Configuration
Module 3:
DC and Switching Characteristics
DS031-3 (v3.0) August 1, 2003
38 pages
• Electrical Characteristics
• Performance Characteristics
• Switching Characteristics
• Pin-to-Pin Output Parameter Guidelines
• Pin-to-Pin Input Parameter Guidelines
• DCM Timing Parameters
Module 4:
Pinout Information
DS031-4 (v2.0) August 1, 2003
225 pages
• Pin Definitions
• Pinout Tables
- CS144 Chip-Scale BGA Package
- FG256 Fine-Pitch BGA Package
- FG456 Fine-Pitch BGA Package
- FG676 Fine-Pitch BGA Package
- BG575 Standard BGA Package
- BG728 Standard BGA Package
- FF896 Flip-Chip Fine-Pitch BGA Package
- FF1152 Flip-Chip Fine-Pitch BGA Package
- FF1517 Flip-Chip Fine-Pitch BGA Package
- BF957Flip-Chip BGA Package
IMPORTANT NOTE: The Virtex-II Platform FPGA data sheet is created and published in separate modules. This complete
version is provided for easy downloading and searching of the complete document. Page, figure, and table numbers begin
at 1 for each module, and each module has its own Revision History at the end. Use the PDF "Bookmarks" pane for easy
navigation in this volume.
© 2003 Xilinx, Inc. All rights reserved. All Xilinx trademarks, registered trademarks, patents, and disclaimers are as listed at http://www.xilinx.com/legal.htm.
All other trademarks and registered trademarks are the property of their respective owners. All specifications are subject to change without notice.
DS031 August 1, 2003
Product Specification
www.xilinx.com
1-800-255-7778

1 page




XC2V60 pdf
R Virtex™-II Platform FPGAs: Introduction and Overview
• GTL and GTLP
• HSTL (Class I, II, III, and IV)
• SSTL (3.3V and 2.5V, Class I and II)
• AGP-2X
The digitally controlled impedance (DCI) I/O feature auto-
matically provides on-chip termination for each I/O element.
The IOB elements also support the following differential sig-
naling I/O standards:
• LVDS
• BLVDS (Bus LVDS)
• ULVDS
• LDT
• LVPECL
Two adjacent pads are used for each differential pair. Two or
four IOB blocks connect to one switch matrix to access the
routing resources.
Configurable Logic Blocks (CLBs)
CLB resources include four slices and two 3-state buffers.
Each slice is equivalent and contains:
• Two function generators (F & G)
• Two storage elements
• Arithmetic logic gates
• Large multiplexers
• Wide function capability
• Fast carry look-ahead chain
• Horizontal cascade chain (OR gate)
The function generators F & G are configurable as 4-input
look-up tables (LUTs), as 16-bit shift registers, or as 16-bit
distributed SelectRAM memory.
In addition, the two storage elements are either edge-trig-
gered D-type flip-flops or level-sensitive latches.
Each CLB has internal fast interconnect and connects to a
switch matrix to access general routing resources.
Block SelectRAM Memory
The block SelectRAM memory resources are 18 Kb of
dual-port RAM, programmable from 16K x 1 bit to 512 x 36
bits, in various depth and width configurations. Each port is
totally synchronous and independent, offering three
"read-during-write" modes. Block SelectRAM memory is
cascadable to implement large embedded storage blocks.
Supported memory configurations for dual-port and sin-
gle-port modes are shown in Table 3.
Table 3: Dual-Port And Single-Port Configurations
16K x 1 bit
2K x 9 bits
8K x 2 bits
1K x 18 bits
4K x 4 bits
512 x 36 bits
A multiplier block is associated with each SelectRAM mem-
ory block. The multiplier block is a dedicated 18 x 18-bit
multiplier and is optimized for operations based on the block
SelectRAM content on one port. The 18 x 18 multiplier can
be used independently of the block SelectRAM resource.
Read/multiply/accumulate operations and DSP filter struc-
tures are extremely efficient.
Both the SelectRAM memory and the multiplier resource
are connected to four switch matrices to access the general
routing resources.
Global Clocking
The DCM and global clock multiplexer buffers provide a
complete solution for designing high-speed clocking
schemes.
Up to 12 DCM blocks are available. To generate de-skewed
internal or external clocks, each DCM can be used to elimi-
nate clock distribution delay. The DCM also provides 90-,
180-, and 270-degree phase-shifted versions of its output
clocks. Fine-grained phase shifting offers high-resolution
phase adjustments in increments of 1/256 of the clock
period. Very flexible frequency synthesis provides a clock
output frequency equal to any M/D ratio of the input clock
frequency, where M and D are two integers. For the exact
timing parameters, see Virtex-II Electrical Characteris-
tics.
Virtex-II devices have 16 global clock MUX buffers, with up
to eight clock nets per quadrant. Each global clock MUX
buffer can select one of the two clock inputs and switch
glitch-free from one clock to the other. Each DCM block is
able to drive up to four of the 16 global clock MUX buffers.
Routing Resources
The IOB, CLB, block SelectRAM, multiplier, and DCM ele-
ments all use the same interconnect scheme and the same
access to the global routing matrix. Timing models are
shared, greatly improving the predictability of the perfor-
mance of high-speed designs.
There are a total of 16 global clock lines, with eight available
per quadrant. In addition, 24 vertical and horizontal long
lines per row or column as well as massive secondary and
local routing resources provide fast interconnect. Virtex-II
buffered interconnects are relatively unaffected by net
fanout and the interconnect layout is designed to minimize
crosstalk.
Horizontal and vertical routing resources for each row or
column include:
• 24 long lines
• 120 hex lines
• 40 double lines
• 16 direct connect lines (total in all four directions)
DS031-1 (v2.0) August 1, 2003
Product Specification
www.xilinx.com
1-800-255-7778
Module 1 of 4
4

5 Page





XC2V60 arduino
R
Reg
OCK1
DDR mux
IOB
Reg
OCK2
3-State
Reg
OCK1
DDR mux
Reg
OCK2
Output
Input
Reg
ICK1
Reg
ICK2
PAD
DS031_29_100900
Figure 2: Virtex-II IOB Block
Virtex™-II Platform FPGAs: Detailed Description
The DDR mechanism shown in Figure 3 can be used to mir-
ror a copy of the clock on the output. This is useful for prop-
agating a clock along the data that has an identical delay. It
is also useful for multiple clock generation, where there is a
unique clock driver for every clock load. Virtex-II devices
can produce many copies of a clock with very little skew.
Each group of two registers has a clock enable signal (ICE
for the input registers, OCE for the output registers, and
TCE for the 3-state registers). The clock enable signals are
active High by default. If left unconnected, the clock enable
for that storage element defaults to the active state.
Each IOB block has common synchronous or asynchronous
set and reset (SR and REV signals).
SR forces the storage element into the state specified by the
SRHIGH or SRLOW attribute. SRHIGH forces a logic “1”.
SRLOW forces a logic “0”. When SR is used, a second input
(REV) forces the storage element into the opposite state. The
reset condition predominates over the set condition. The ini-
tial state after configuration or global initialization state is
defined by a separate INIT0 and INIT1 attribute. By default,
the SRLOW attribute forces INIT0, and the SRHIGH attribute
forces INIT1.
CLOCK
D1
Q1
CLK1
D2
Q2
CLK2
FDDR
DCM
180° 0°
DDR MUX
Q
D1
Q1
CLK1
D2
Q2
CLK2
FDDR
DDR MUX
Q
(50/50 duty cycle clock)
Figure 3: Double Data Rate Registers
DS031_26_100900
For each storage element, the SRHIGH, SRLOW, INIT0,
and INIT1 attributes are independent. Synchronous or
asynchronous set / reset is consistent in an IOB block.
All the control signals have independent polarity. Any
inverter placed on a control input is automatically absorbed.
Each register or latch (independent of all other registers or
latches) (see Figure 4) can be configured as follows:
• No set or reset
• Synchronous set
• Synchronous reset
• Synchronous set and reset
• Asynchronous set (preset)
• Asynchronous reset (clear)
• Asynchronous set and reset (preset and clear)
DS031-2 (v3.0) August 1, 2003
Product Specification
www.xilinx.com
1-800-255-7778
Module 2 of 4
3

11 Page







PáginasTotal 30 Páginas
PDF Descargar[ Datasheet XC2V60.PDF ]




Hoja de datos destacado

Número de piezaDescripciónFabricantes
XC2V60(XC2Vxxx) Virtex-II Platform FPGAs: Complete Data SheetXilinx
Xilinx
XC2V6000(XC2Vxxx) Virtex-II Platform FPGAs: Complete Data SheetXilinx
Xilinx

Número de piezaDescripciónFabricantes
SLA6805M

High Voltage 3 phase Motor Driver IC.

Sanken
Sanken
SDC1742

12- and 14-Bit Hybrid Synchro / Resolver-to-Digital Converters.

Analog Devices
Analog Devices


DataSheet.es es una pagina web que funciona como un repositorio de manuales o hoja de datos de muchos de los productos más populares,
permitiéndote verlos en linea o descargarlos en PDF.


DataSheet.es    |   2020   |  Privacy Policy  |  Contacto  |  Buscar